• Professor, Electrical & Computer Engineering
Sunil Khatri

Educational Background

  • Ph.D., University of California, Berkeley – 1999
  • M.S., University of Texas at Austin – 1989
  • B.S., Indian Institute of Technology – 1987

Research Interests

  • Computer-aided design of Very Large Scale Integration (VLSI) ICs, including logic and physical design automation.

    VLSI design of digital and analog circuits

    Current topics of focus include:

    • don't care computation
    • test generation
    • leakage power analysis and reduction techniques
    • clock distribution
    • asynchronous circuit design
    • cross-talk analysis and avoidance in VLSI design
    • datapath design automation
    • VLSI design for Low Density Parity Check (LDPC) codes
    • Dense Wavelength-division Multiplexed (DWDM) network Routing and Wavelength Assignment (RWA) techniques

Selected Publications

  • "Cross-talk Noise Immune VLSI Design using Regular Layout Fabrics". Committee: Professor R. K. Brayton (Co-chair), A. Sangiovanni-Vincentelli (Co-chair) and Professor Dorit Hochbaum, University of California, Berkeley.
  • "The Design of the METRIC Memory Interface and Memory System". This involved the design of the memory interface of METRIC, a multi-threaded RISC Microprocessor. Committee: Professor M. Ray Mercer (chair) and Professor Donald Fussell, University of Texas at Austin.
  • “A DCVSL Delay Cell for Fast Low Power Frequency Synthesis Applications”, Turker, Khatri, Sanchez-Sinencio. IEEE Transactions On Circuits and Systems – I, vol 58 number 6, June 2011. pp 1225-1238.
  • “Computation using Noise-based Logic: Efficient String Verification over a Slow Communication Channel”, Kish, Khatri, Horvath. European Journal of Physics B 79 (2011). pp 85-90.
  • "Noise-based deterministic logic and computing: a brief survey", Kish, Khatri, Bezrukov, Peper, Gingl, Horvath. International Journal of Unconventional Computing 7, Feb 2011. pp 101-113.
  • "Noise-based deterministic logic and computing: a brief survey", Kish, Khatri, Bezrukov, Peper, Gingl, Horvath. International Journal of Unconventional Computing 7, Feb 2011. pp 101-113.
  • "Towards brain-inspired computing", Gingl, Khatri, Kish. Fluctuation and Noise Letters 9 (2010). pp 403–412.
  • "Instantaneous noise-based logic", Kish, Khatri, Peper. Fluctuation and Noise Letters 9 (2010). pp 323–330.
  • "Fault Table Computation on GPUs", Gulati, Khatri. Journal of Electronic Testing: Theory and Applications (JETTA). Vol 26, number 2, April 2010. pp 195-209.
  • "Selective Forward Body Bias for High Speed and Low Power SRAMs", Bollapalli, Garg, Gulati, Khatri. Accepted for publication at the Journal of Low Power Electronics (JOLPE), Vol. 5, No. 2, August 2009.